This commit is contained in:
Sandro - 2022-12-04 09:17:37 +01:00
parent b467bb4e74
commit 93c283f235
Signed by: sandro
GPG Key ID: 3AF5A43A3EECC2E5
2 changed files with 2 additions and 2 deletions

View File

@ -229,7 +229,7 @@
inherit hostRegistry inputs zentralwerk; inherit hostRegistry inputs zentralwerk;
}; };
nixpkgs = { nixpkgs = {
overlays = [ self.overlay ]; overlays = [ self.overlays ];
}; };
}) })

View File

@ -14,7 +14,7 @@ in
lib.attrsets.mapAttrs lib.attrsets.mapAttrs
(system: pkgs: (system: pkgs:
let let
overlayPkgs = builtins.intersectAttrs (self.overlay { } { }) pkgs; overlayPkgs = builtins.intersectAttrs (self.overlays { } { }) pkgs;
in in
overlayPkgs // overlayPkgs //
{ {