buildrootschalter/package/libxslt
Jerzy Grzegorek 3033bc0c6e package: remove the empty trailing line(s)
Signed-off-by: Jerzy Grzegorek <jerzy.grzegorek@trzebnica.net>
Signed-off-by: Peter Korsgaard <peter@korsgaard.com>
2014-01-04 10:39:29 +01:00
..
Config.in package: remove the empty trailing line(s) 2014-01-04 10:39:29 +01:00
libxslt-configure.patch
libxslt.mk Normalize separator size to 80 2013-06-06 22:30:24 +02:00