c3d2-web/content/news/pentacast-26-automatisierte...

80 lines
6.0 KiB
XML

<?xml version="1.0" encoding="UTF-8"?>
<!DOCTYPE item SYSTEM "http://www.c3d2.de/dtd/c3d2web.dtd">
<item title="pentacast 26: Automatisierter Hardwareentwurf" date="2011-01-30T00:00:01" author="klObs">
<image title="(((pentacast))">../pentacast.png</image>
<p>
Früher wurde Hardware (speziell integrierte Schaltkreise) noch von
Ingenieuren in Hallen gezeichnet. Heute ist alles anders und besser.
</p>
<p>
Studiogast <link href="http://jknechtel.de/">Johann Knechtel</link>
<link href="http://twitter.com/knegtel">(Twitter)</link> gibt klObs und Astro
Auskunft, wie man heutzutage vorgeht, um Hardware automatisiert zu
entwickeln. Wir kommen unter anderem auf Hardwareprogrammiersprachen (z.B.
VHDL) zu sprechen und Johann berichtet von <em>embedded challenges</em>, wo
es darum geht Trojaner in Hardware zu bauen.
</p>
<addendum>
<p>Inhalte:</p>
<ul>
<li><link href="http://de.wikipedia.org/wiki/Chipentwurf">WP: Chipentwurf</link></li>
<li><link href="http://de.wikipedia.org/wiki/Schaltungs-_und_Systementwurf">WP: Schaltungs- und Systementwurf</link></li>
<li><link href="http://de.wikipedia.org/wiki/Fotomaske">WP: Fotomaske</link></li>
<li><link href="http://de.wikipedia.org/wiki/Problemorientierung">WP: Problemorientierung</link></li>
<li><link href="http://de.wikipedia.org/wiki/Digitaler_Signalprozessor">WP: Digitaler Signalprozessor (DSP)</link></li>
<li><link href="http://de.wikipedia.org/wiki/Application-specific_instruction-set_processor">WP: Application specific instruction set processor (ASIC)</link></li>
<li><link href="http://books.google.de/books?id=RhNk0IoNc1sC">Layoutsynthese Elektronischer Schaltungen - Grundlegende Algorithmen Für Die Entwurfsautomatisierung -> Verhaltensentwurf</link></li>
<li><link href="http://de.wikipedia.org/wiki/Halbleiter">WP: Halbleiter</link></li>
<li><link href="http://de.wikipedia.org/wiki/Layoutentwurf_(Elektrotechnik)">WP: Layoutentwurf</link></li>
<li><link href="http://de.wikipedia.org/wiki/Leiterbahn">WP: Leiterbahn</link></li>
<li><link href="http://de.wikipedia.org/wiki/VLSI">WP: VLSI</link></li>
<li><link href="http://de.wikipedia.org/wiki/Integrierter_Schaltkreis">WP: Integrierter Schaltkreis / PIN</link></li>
<li><link href="http://www.atmel.com/dyn/products/product_card.asp?part_id=2004">ATmega8</link></li>
<li><link href="http://de.wikipedia.org/wiki/SystemC">WP: SystemC</link></li>
<li><link href="http://de.wikipedia.org/wiki/Verilog">WP: Verilog</link></li>
<li><link href="http://de.wikipedia.org/wiki/Very_High_Speed_Integrated_Circuit_Hardware_Description_Language">WP: VHDL</link></li>
<li><link href="http://de.wikipedia.org/wiki/Signallaufzeit">WP: Signallaufzeit</link></li>
<li><link href="http://de.wikipedia.org/wiki/Register_Transfer_Level">WP: Register Transfer Level (RTL)</link></li>
<li><link href="http://en.wikipedia.org/wiki/Datapath">WP (en): Datenflussgraph</link></li>
<li><link href="http://de.wikipedia.org/wiki/Arithmetisch-logische_Einheit">WP: ALU </link></li>
<li><link href="http://de.wikipedia.org/wiki/Register_(Computer)">WP: Register</link></li>
<li><link href="http://de.wikipedia.org/wiki/Finite_State_Machine">WP: Final state machine (FSM)</link></li>
<li><link href="http://de.wikipedia.org/wiki/Deterministischer_endlicher_Automat">WP: Deterministischer, endlicher Automat</link></li>
<li><link href="http://de.wikipedia.org/wiki/Von-Neumann-Architektur">WP: Von-Neumann-Architektur</link></li>
<li><link href="http://de.wikipedia.org/wiki/Signalflussplan">WP: Signalflussplan</link></li>
<li><link href="http://de.wikipedia.org/wiki/SPICE_(Software)">WP: SPICE</link></li>
<li><link href="http://de.wikipedia.org/wiki/GDSII">WP: GDS II</link></li>
<li><link href="http://de.wikipedia.org/wiki/Allokation_(Informatik)">WP: Allokation</link></li>
<li><link href="http://de.wikipedia.org/wiki/Scheduling">WP: Scheduling</link></li>
<li><link href="http://de.wikipedia.org/wiki/Electronic_Design_Automation">WP: Electronic Design Automation (EDA)</link></li>
<li><link href="http://de.wikipedia.org/wiki/Layoutsynthese">WP: Layoutsynthese</link></li>
<li><link href="http://de.wikipedia.org/wiki/Floorplanning">WP: Floorplanning</link></li>
<li><link href="http://de.wikipedia.org/wiki/Simulation">WP: Simulation</link></li>
<li><link href="http://model.com/">Modelsim</link></li>
<li><link href="http://chaosradio.ccc.de/cre117.html">CRE: 117 FPGA</link></li>
<li><link href="http://www.cadence.com/">Cadence</link></li>
<li><link href="http://www.synopsys.com/home.aspx">Synopsys</link></li>
<li><link href="http://de.wikipedia.org/wiki/OpenSPARC">WP: OpenSPARC</link></li>
<li><link href="http://www.opencores.org/">Opencores</link></li>
<li><link href="http://en.wikipedia.org/wiki/OpenRISC">WP (en): OpenRISC</link></li>
<li><link href="http://www.si2.org/openeda.si2.org/help/group_ld.php?group=73">OpenAccess Gear (OAGear)</link></li>
<li><link href="http://sourceforge.net/projects/oagear/">SF: Open access gear</link></li>
<li><link href="http://de.wikipedia.org/wiki/Xilinx">WP: Xilinx</link></li>
<li><link href="http://de.wikipedia.org/wiki/Silizium-Durchkontaktierung">WP: Silizium Durchkontaktierung</link></li>
<li><link href="http://www.bmbf.de/de/14712.php">3D-Integration: Elektronik in der dritten Dimension</link></li>
<li><link href="http://de.wikipedia.org/wiki/Multi_Chip_Module">WP: Multi Chip Module</link></li>
<li><link href="http://de.wikipedia.org/wiki/DFT">WP: Designed for test</link></li>
<li><link href="http://de.wikipedia.org/wiki/Nanobiologie">WP: Nanobiologie</link></li>
<li><link href="http://de.wikipedia.org/wiki/Carbon_Nanotube">WP: Carbon Nanotube</link></li>
<li><link href="http://www.poly.edu/csaw-embedded">Embedded Systems Challenge</link></li>
</ul>
</addendum>
<resource title="pentacast 26: Automatisierter Hardwareentwurf" size="58711683"
type="application/ogg"
url="http://ftp.c3d2.de/pentacast/pentacast-26-automatisierter-hardwareentwurf.ogg">
<alternative size="102924225" type="audio/mpeg"
url="http://ftp.c3d2.de/pentacast/pentacast-26-automatisierter-hardwareentwurf.mp3"/>
</resource>
</item>