From 59a4766eac6937b6f9895c9446abab4d7f0c3c95 Mon Sep 17 00:00:00 2001 From: Astro Date: Thu, 24 Oct 2013 23:11:46 +0200 Subject: [PATCH] news/20131024-gchq-werkbank --- content/news/20131024-gchq-werkbank.xml | 16 ++++++++++++++++ content/static/images/news/gchq-werkbank.jpg | Bin 0 -> 49376 bytes 2 files changed, 16 insertions(+) create mode 100644 content/news/20131024-gchq-werkbank.xml create mode 100644 content/static/images/news/gchq-werkbank.jpg diff --git a/content/news/20131024-gchq-werkbank.xml b/content/news/20131024-gchq-werkbank.xml new file mode 100644 index 000000000..ae1ce4638 --- /dev/null +++ b/content/news/20131024-gchq-werkbank.xml @@ -0,0 +1,16 @@ + + + + +

+ Das erste eigene Möbelstück als Grundlage zum besseren Bauen der + weiteren Ausstattung: +

+

+ images/news/gchq-werkbank.jpg +

+

+ Weitere Bilder stellen wir unregelmäßig aber oft auf die neue + Hackerspace-Seite. +

+
diff --git a/content/static/images/news/gchq-werkbank.jpg b/content/static/images/news/gchq-werkbank.jpg new file mode 100644 index 0000000000000000000000000000000000000000..b82bea438b49639ff225d9dec03f06b63a017175 GIT binary patch literal 49376 zcmb5VWl&sA)HOOG5C{+m1P=qj-Q9z`y99T4hXf1mE`ts-XmE$%I@ln=eQ*dcXdt;f z?|0v??%%sl)%kH+PFMHt-mCUn{qNVmEx>yP8F?ANt5>f8axV|y-zq>7fbtp{8TmEJ z%L@es|+#KFeJ#lgnG`9MHQ_yLau9|wnsf`|kNBqt~TKuAeVNk&ad zMo#u$C$CDg#l-KV7MWh6H08AuF33O&;X4~Bck%Pu@GTiBD*5E~#7d7Y8M$Fm_E*{ zh}U#14d2l0dEV=w9WhX-gwtaH(b5ZFDKWpH_y&0Q?(?VOVp8viU;F3X`KDXCKfMw- z?h+bug$*-vc6-PR8liEzoyZYC3eT@MXWizWE^0KQu(H&#^fOipLqQ24p?HI@(dlLI zKA{|J1pp3FHKFPLt;aRn;ta`6?Ym#vXL7TgBp6 z=`@gF&~HwgsCoJ>*;(qKAC+j(8cG#n^=C0jM4?KD<55)fqnj5GzXnLeAj#T(>;H+2 zG+e+!EGu{$d_gn&r2us!P{!|BtlUH%RuFT*@9me!XJ_7LrzI87r7Q9*CPKPYl%Z`@ z+{92tZA8(hg!=hVf=Jy0P`vleQ;p!I*Kfeku+K<8k({`js5;U25rYZ>XFpjs^2#Vb<*P zr*HisztjK(?8s))?C+`S=}GyR^{hU8ZG|2eimKLblKMUee3iK<;EUZU<6rBL&FDwr zjxG!0wtoH?>EwHI%#yBA3B)_2&TGZWnu*pdMt>70iSdr;(>FB&4y=%fh}R+Lo}UUo zMKGv*^sAy{6FT$@j{g?8ad-c>3nYB<;$Q9p4)CYzOu;%4)(qFPycP+?>pJQDjO!)H z0>~zvLHacfp%i5PdkVT4);A)(S%Xt*X7l1KIrY!|Bj!42hX8;?pAOS*Yf97({E^J1 zP>xLI=6VTqYq$gw=t|`C{}iPxiI3c@!_%Pe(SF(M0OK3hDUs8{$}?den@{oPfT5Ww zy#g}YqQv9BMy4+}1;nv1y!aUo1;expNFPVXh3oh}ICr4Xt+tC?X?)j9Wtw;g@eq)g z;xhcWeB_BUZp?a9Dn#a#*LlYSf(xGrX+YzeXElt!*Z(>jJ{tp{rl))tG*dz~ePw2$ z(FY*GQ#S<&nn`eAg#+|OMQFYYc&3>@u&IjG-(9b>cn6w$?_Kt^&Q4ctMkYP{om3Ar z=xBtz5^!R!qGH2ZVhQ zZ$?u*tZ*|WNhxN1x3xz5v06uCU3~<(Nh%n1s$AjexqLPK?=&kLVWp8jQo05yrN*ck z=`>p~c`i(=!%IN0J9rJRg`zYCHd5y3{5R|P@!qS`y@5^x@{Z^*1jq#BeqXBOPQanA zger}8h-?aw&9B_;W^c5Q5m@_jeIjBU{5J9y}^3td-)L1E9NWhknaA|D3iph zh1z-_27USmuok|_*q#4=J%4u4YqVEUZ0B}t;aT%szm9llK@5ctD`a8CzABLzlIC_j zMAs3d_@4076b*opu>{n|$;e}z>MC~(Fj2*}>q1R6VLCwQ+sJP24ji1QX3nsLX8iKP zBjlhQtt(I=oI)%wTI_B_7{v9?9wcNAd%0iiS8=)XWNLmbNi0UV&RV5w2C5kU11PS- z@#b{!K1DG~0tt}H%>W4R_lHE3#kvP;^;-2YZVpCYxMmPncZNHpEW%$i=dQhiu*sB` zeS;(_G&(-xh@=0A6uA`jDgW9SFR;PJR>fT8E>YM)Ym>ebYCB)!J^?f?sG43zxPA9` ztv|a7`fCXRzZ^O3TDa7BGsQnqr3K%_qoA09RKMpl(^ke@%ey`bY%Ued_zY!v3G0%} z1Pk@9!mE7i`c7RxSAL6NVbB-QarxMHvV5}hcwG|d7_6$|2-8_5mH6U#v}l%_qV3$7 zcYDyH3+%NifUKt9s*LH=c=PKr#-u4R6iE}jKP)5Qb~R8-KIr5>gvPb+*9oTO#~%9b zRwH04PTs!555XqVJ^3FlMo=S{gPF8(3ZoPiwDjM&d^~u(^Y>Gno#N2N>YApj16Hv@ zhm@^z4vh?}VY%_8y6HnWHYEkJu<6ns5wg5fh*t8Pv;`e*83B87Kajn6m>mO07AxoB zn7woigu4RTthu)0sd{%m`{7^(sc6&>9UC@x{U{VqZxq0w0>M%1+V5SxUawA2f zd!D`#e2>@cdQ?J^AWmG0E8IKxyX#~_ej`a(q^p;$%y6o}CY3N3MlNP4!=!)+$>hba zouHutT|e_VRju{`wk{tz{T>gDa+VZqP}Y?VqbdcH8b-4V1pB%X2TYoDcFPFAKO2c6 zD-mzGe}EJ~XoKHw4A72X$Y}5$piM3jjYeCF-+3}w?CiiVha^AAQNM1L4$%v;V4!Xy zOkr4|DicOu$z}a>R!_Hl3vtf-r|US%ePRMu06!_}ia&_e6!N>QA;V8mH3eL{FyPx1PBbfoZN;y5U9 zdvNU`GjjpU5J4_GWX$c`?W2YMVR(oVTE)Ato>O9y+ns{=o0zUSHo?ZHDl!t3(<Ycc?GL4DdR@Lb3F;R%cZbx@Ff2<;%CU3*!w3~rn+h| zSb1b=?DA14|AO^GwO~AOx~$#4`oO3*&mbkbCcE9UDx=ZusO0d$!&%&M=*V?--*)ZT z-=jomdgr45U_raVC_CVK_UQT(jjp{swQfT8Q+@vT)<~Cx4T-+gh?djydTF z9BHO~y*%eh?5dIsV zd$rO~Oyi#8*J8bIL^L;5bhuxxZ`E+JUqU=xawY_{%DYP(+l-iKP6F35o+Ko8j@9{A z>Z&VpTZ|Wo`HYma0f7n%j8oaC{!i=ECIvDj%_Ss4)bcciR=aK23k|D`Y2#jkdBOfY z_bz=sE@#HQgzY_+`4op&{{VceUyb~+OLnh&#g-zWo6;$x6$u1(P7VFWS`G6ZV^mE% z*FO#zSAf>>2au-r3)Dzhtl$_kIA#IHvdxm*k>l!a^O<61^0mw!NEqU8%C#~s(y*CZ$VT|HHIx!q)e zT>&0FUuz`O%FUrPsJRT)4vBs}imwz}NivDw4gIO3KqU;nsohqxTBhF`R7NUA+Ypp0XJ#wOhju4tIxKKA6Jn)VY8ddTZA?I>_%TTJu_UrC?QZ;a~Au@f|e)u z`(KmXV5LXwUg+tg+o1)AsM+3~&DGuJ>9yg}{=`G@e$0*hd!}@>WY2jnze}BKC%HyY zV|e(Ic2Oxwa+N{}!Ko&pysnCSdszugh$m4TMpid_(3-J306qoDQ1Y~vc|Hmh z(@lw*RNizUf-l&7mf#oc(-a4YhiUAFjkcp8Y(ln!KV}0(Qm?RZOQm9III7*kYCUle zT(+w_GklmEJ(6J> zn<$gHNJL|A}#;R&5F5}es4EWSjQs&rGfn^hu zO?jfv=I*)Y&Xfyl57+)Pa_92~^HaycmA{;tCLX_nI&Ox8wO4|`x|^`wL$fisn?Iwp zrOiJ^nmffHmyd6D+NdD+WCRlC3wIG}PDlVd9eNEWMvo*|ZM70MnYi&?uyoq|Ytd zSqr{h&q|BB10D_2GC)c9y&vLl!3oemxz`Sth;1k#!R@pSEVdZ~7?A+tW(If@AQjqS z0SQ?QEi2pQ@=MAMgM>>IOn9!kljmJsL_1Fc@0JQAeH%d!2hc~1NT=We(b~NBd~!!C zq_h+&J2cmt7MfgU7h0q9>;&~Hf9r9^8fEs+G;t6*$XtBBleKw+@%MiK6-5$3TiZSi zfNUXJ6SaQ*H9}+4JjS{l!i`_{4`9N*cAU7JHL?&(A!%r&6*=H>V4mCBW%?AbMNPT zXWfEkBOw``aEc=$jkE~lM&_xK*j&vw*QT>o5DF#n&m?aCf!2OGy1ES}FxrGMBq8({ zboQ-Y1G8wr9V(TPXXr&!Sj;?^Ew#io4U2?{v}KgrtioGcSzF7Y++zn9Kd&CSFL)ah zGmUy&BuH@p^Y#_*t&4TWSq>_x3LrZBNw^JKBaA=rLk|!9jjR|_z8J4LoB+AK1-$_Y zG2Zm=XEhFNcRRP!#~YpIJ=3E4HTnWCSk$`^)fwd$umN4?0HNQ#dIhXKnnS0vvCfy6 zCk6ZG>By8kOt=*cF(_$uJiGd-*-L`VIXr+*Gh;Y4fj2YT^z9`&1v-Mj#C;-=*Eu5HL2z$_%6?KI3qX8ZC;#M;cLpxI~7XS_DWFAHKPnS@=jMOw|3=B{Fa10U)(^Tz2r9(Q5K;KF#>&nZhI>Gs`lV0BB^05s9{t^0TTDSPo zqTI~lRgp9$(F<wvgy5fm6I##>m)ot z=<2kp1LW6;GBSO$wf2D9aMKCp5+id37D1dwzcytip-9zRAR}T^b71uP_Trfw`81NO z$7cT-z5Qe+KzMCR#NGXfD4yODQuO()IlsnKwhhYx6lzWOt5v^6>vPh+z9;`WQCc>i z-30~)az^S&er=|v48iN-QS+sP)h~qXdJ~MFks#<4%%%cQyf<`U=1e0*P`(56(WCH6 zbCyLpHvErYe(-vMZho~3XrS8eGu}*dVQI1k-uq)kVa@!C%z`D1GFncpef|20uS_K$ zgsqQkFKEn6DGj0BT=R3YzckCJ>+*|6yfc=Sz#=&GXfr4%Lpjr8G%#N8sjB##)D2}D zS!4fmGOxbhe*}V6WhGmgngxuV&nVH6Uh*NK9Pd%w`1ARUod`UH%=a45Q?h0Ge1w8& za55`vXJPeGIwVu^Q)P?4u*LD3F6aFmbP6>=%IM*Z(rW5RB#uY%p!Na%OchVh5h34s ziyxW2xvhSUY%E)MW>y)Szirks)&c-~Qr8&u38;v!FfjN4boXm4iM!Af9eC_x-sZp*l^U@=TlA5zusb$?g4K-dDORy42ZR!PC3#zN<%u@J2c%T+`3^ zSZN&MDooIYX-}DV@Y=Q?>0sU1+3RyS`rJke>wumf(tMx?e4qP+TK4B3Pmtt>P_*o9sOV%?(vbY5Y0^LEI;#Csr$- z-D}nDF%YJVxL@Xd5_0;Cs}8&Jp68OhZAm!e)J{;~rp-GMc3D1>5l}#ZOKksrcWWvC zyufjs<6@8)?DvC7=EaSP$$<$B6xiV>mX5HAUAb|ah_~49NEI<*K9-6~hB7DNl)Yju zme+Ca2>_2-tNd!zP$V^c0(mh_}ySDoh3)vV4S77gc&gE~@Jzr6&hQy391SjAQOF=on8vo{RV_2~@ zzqc;Dy3CjytLgHnjQJ?h4AI5CG}Xff>ll%uRNQzp7S0dOo)*6mX7D2yS`HgKgjL`E zxw_s69;8V+Rk!-|BUGI%g;FppRhn2f|1?KFx0k1FH~BgUXL6cN^Z57DCgZS)&Iz~2 zlF-PKDEDwuMr=S3hbK=J5A_Z1(MdvYc^C)$_tSdSK_|Fns-Q0N>+(KFu)}2!2n0U% zYaU8oyz{eQ6G}(CV4Z%e$=?A2qN>W-`I!qNlMjCs^y2a+&k_;{ig1TK^;y!sScc12 z*znruc&urNxE0hAk3DSUxuvF`J|~K4_Rv^^;Qbt_84o>d;u(TTd;Z3Gc=Z|y8Ra#~|57C{#K>!89F+IC z6dyiOa&WS9iNC?4`m9Ec&n+SO!knPJ@F}lQkS31#{{f(0h_DNls+N2o&(2oS zC#l_Ze_a!WzLq2mRXQ;p32-k|OF35Q7ng(b;cx!|YPIFhko`u!!t*YNZ_M#=WpzW9 z9rc($f6YI^C#ZpUkogMLd|_*q{zWw<^bf#&knnYXWVIX@oEo9CvG8#aeOPv%ICoE7 zM^FDBK=Zjnj6IS6ZvrFKlUyyTEwwpBZEOhaeU@ogZ{|BJjpyFb02Z_o#WOyX&HF0) zx)Ps$fqcHYnFC0!Dqn|zV*XlL+eMpwG;I%E;ER+`d2rbb`8dwmq*#vo0I)Wet-|yP z)1n5E+dEaCY-h4SKBXd#?4H<7fVlKrL=N!4hUfKUWk!FuigO6^;Prv2!t~}`Zcy$? zb?N*pPis0?o72S2BA+@U*Z!w6=HKWHM7u>C$1ZCbOGW?6{Uu8Dpmq7&Nl(5H!K{wS zsr}N^al>~)o!)(|k_Z*Ue*j8}+;DzIdQ2Sormz|EDJJAHZZCl#W&ABiWqO$ju6{EZ z)yk6F?!47ER&I<$^xeU>*%FPPAQO^=<^&UOkbpW!Nq7AC`1lYH9rZz$uJQ}c{C<36 zbVO4(^quefd%1O`%Jll4mTD37MtlFNHo?O$&XubIs$d9fc`3wUH$kUSs#J6Fx0#ij zYmnl_T?$)UKx%1r(BMftgZLG_y`m-=>HELzA{wUu2RLID2&WTV9q!lv7`3-alb+&asA6pf7?FV;(Asoa!dZqZ6*s48yv-5Twu zflhi5#de}GLyABQN#P#$C1yq{ofX7%s!QME9qfxIEVU)&unpy7b2KC57br>-dF61e z?VKr^a^4xe1G4ef5Yp0szNGZz62JYBgvL?m!z^7Xm?=?Mk)ZxW4iehii3#=N*Fz4U z$Piw?cTXpE=Q;L{6gt~6aZ$+{%?fvoSfxq}tG1#G(Uii#V2)}y&q3!>ni=!)tdlXw z{jQx1m>c0eI-{^9{N7@l#@QgV{za7zokUpkobjupJ85hN>52A!JfAj`5XB1EGca5L zOXH4MsJ{N)ZQeY5S)k&R=DDUk+B*y1g|MW6oUpL45)^`8%_~aU8Opw{kT-Jd$AVcl z&u7;UF=wu8jJL<7)kl@?_F2ZfA6vIdM)5%3rG>^tc2u2U!=@!9&O^1M;RZ-=28BiB zCM-wV6UrewA9MfCj_xyzbq^Ct;k5;Q)K@t3JQlAMeBOSDZH!bB9h>|Vimv)9FW)V| zPoPz!sZd_oM@!iYY>qXjch*_naJ0%)&8tX1c7>pr?M-c4>@KB z>EL;h%Br0aXxF^BbnJwJ^VC7=przK|Jz!%1Yn$DvWsCsu!wP(S`5Q{vs0g84?ATbT z{o7IbLI+%iPi|T_u%C9v=AfptHUugNGuM09B2) za;c2oSRfQ$&#e5S4vWtaUATLjDlmm^!pTcv=cZ}z7_{(H#aE(*7j?%8rfy&%hOlI0 z;Qs-8xZ*b^=vB;LjiiD^G@!mE%6aaGd2ZE8*jFv5SwLnZ=?7L*VbpVl)fj3FrPvF} z9Svx=iwI|3*v0IZ49?X#F^j>uEPtCba*cO{Ny~CPno!qo?jAHuXEyGCkdNi|Y>PrT zLir)aONwLv0Q*d|JZX2Twu~y_TP0qwU#%FDrzDELlxqY$+OaXB*%;y~ZBVFT1YSfX zNk%(&(IdGqT&I?Ez@CiBzPs>j`g%tsK z_?XGqdV5%FBV+wCF+Nk&VDCz=Pn{gt!vU4pPU|4r?2oiRB%4FwnMilvt1LNrmjn)- z2dDOqlL$X*ki>wcKiEh-i!1!xx)tQ372vP$tL6Bfv?cf1abs)w3-WFAXj24u4<1Rm z#DPk0zcGY2nQSueV=3?^P$t}_g1&n{NQ93NbAlwXrbf$m$prLP^Ip`f!_Ip;PJY;u$<_-+BX+(OB{0tJ6Fi4qfeDnjwU(6tgiE zF$$G&K09XbLh1SBNCpz3TF&OwTczr>u4S(13fxwyVrai>$AXPK!2QI`W4vR&Bzw|J z#Fn8Ji!3ZeIVg#WX^#Lrm-~H=Cn%mW6{Fn!QHGd)Z-R)x!!%%NRcE_s-vG^X;#U@NOLEs z&5Sl<>Y&g^D$J3Cidc|^z(vw)&QiCpI#srGXEQid)%M?bKiVsi*LrNF8 zkLr*mPU>~}zHnW5VK=iS7UK|NJN;~<;_uqr;9n)0#2Y#Kye`nHVxPmDH-Aej+{}H9 znR3W_EVo#^Bu($ng2Hb|7guNHDvo9E(5L&kg2{LY`7|9f(Nlox92`*^EC+M5rrmL+ ziuwE_J9rnP&}&PT&`Q$rMs@eo5rR4N?Y7eV`?37Je51lL;pW$_+n!rx-;c-oy}!uk>R&GC-Nd&t$ZbYZp{hu_?x@J<8t@uGI{1z8#-J&8ZubKp$!!J+!?vYlANqjpObP< zAe7iDB-pq-%!Nn~a-52#6B?n!d)$Z*_O>lfqws0wRT4}Cf)6W6N1!hjPR;V_j)=>p zqfxx-`I(%^G|&tjoo|&gO7jOE{9u`Da^A?TY>nda0D)<;SrN5X`Zd>$9sgYW!Nup6vylzj(Rw+x>h?Mwo*yCorA&baVu5QsE=$0 zuq^LO+SCGio;`Rx*LyypK#A7JwZ6i+k7sF=wDoOm+dhiK6WG?ngfypTeX$H2qfM$l zEGAX7OeVhW9KCm%a8`6XvnH&6TrgGi!kBn$^4)N4#nVYx3K}fx>+5q(XO~JK0@XjN z|M^;aJ;}wgAGJZEX@?$nv&6ZeqZUv{80Wn&9Qi4C@AlXDTfn2G6>4s0pjb0o^CK$l zor+{;wCQm!TYH;bFAW*u60uNLZD|1EvZ)=JeHcHysTa2MfvBF31yLTWlDOC)6kII# z1oG$Mhmsd&YD#0-8DuV)AfAF(78WJ6=hWnx3(iA*a!_Tv8;0&B-*mQcvZW*Mi9Pp- zb)4izH+C64mv|R>mzbfCwJ}7baJ1^e4Z#|)@FwZ{NUGM(pp}bEflcQiq{}pD^(5_2 zQKqGU0zJN$+ELS-rmJCxh4GCfX|ia2M{(48h*rF()_%NMdS%jjb4k|Yr{!~WaY+5? zcgdNMqKSWiOomRRlHfX+J2B|HtXQl4`@yE~CG<@iKgPtGWljdO+dc{&N8Kz2h&I=v zYAR&M9Qt#2uP+}Pg>3L0KU1x_lNk%h=%&d_YyMKEz1%)OQZE=&>tA++c;|qPv7RDf zs7eVRlW<6kIC~^B(#4uCj{SNZ8TpRMvd4Pb#(EUuRvhaCYUZuy$QE1a5fYT!Wm}Nd zALGkUHZqk;T`~>hDi8IG%)UNl?kB0-Mhhc3_R&X^gIK#bM;E*Jv``BfykkF@CN!%T z4C(I$8SW99Z>Po2ZI5!5{&r`0y6hQBK=#1X6ryv_mlYkPm4&?dXuB4bN|k-oS)xTLpm^r2dX2Pa}AsrC)K5>Q+gk5nUPOlT+(sd64Iu}%9p*2<@({}n0HG}R(}yV+`Wr`T%EwwpNZ|h>K=z0 zROg*mMC0SXTf%%VDDZKoUfhNxw|xAL_BMFRt)csY<=8}W^cQ3$lBPB6XT@Fm^8nC^ zj)}>}k~98M`2p2g(U3BvM_tC50;s36$2N?F9_%X!Guc_svxkzJtut@h+2wGBPmNrTQ?e zmHH^h_g%_@t%S$X?i<^A;>EYa;w^3bJ@WU~^FDOW`ei6 zcChw03Sj*Hr3N}qjxT$9_xWY8>`z}BS|s-EK7TpN7D_9_$olm$nOAcf!p+PoHhz`$ z?6h}p)@lC=cSh(E?@b|R5o426GKM^=I+};zp^1p$zEiQ#`|5ls>nnYJS}VnW08nm~ z`?&x6b-jJ+ID5`fZA_aOwbKL}TK2BtpFi`rX1PoEi|{|Mb5CnoC`o2yZJ-lMkH)j=XmDw2r;f-Or1@-XhRaEx7|cgADoefj)?yntwkG@L z9mDW=ngMy5iX{Io8?&vg#^@riK4v5>pHc3c1PE~}56%-&#AMoc*b_=rtTv;Eoi$3n>p@FDil}aG z0Nz~Gz=lz<{<;~otBx@^w;Zb=z?TJ_`{s)*%-ecM-246FD?_S;yh(Pf9nqN{83@^&gSFc|q z|9>Q%FM7@QIG@-#a6eFd7FR>&RHwv4q57XB#Ea}x>{V-D$lsPgecP$5ppM11#+}(< zUbEX1&Ao4foTM1$V$RL-ID1L$#7c%Zf0pc40+W|@Ol+GuR&-RM#P*kekHp@JEZYmXgB&ZVB;ysCRx|l5l^CkV^g_#gX z#BSObYkKsDrwILWFX!5tRZ2caA|o$88Z0|5L}z(c(v&bKRyo#w8cJ2~o0$h#p?<~{VwnO9QX~EMI zPdQ$?iB1k%X1d=XC+4+D?0mPf)%Phq5d)y z@4?esx}Oj)+_1!^CKg7-L$mbG{QX)aiZb4@=~yZ;Kjm2%3AiCjx)cs8E_fYl+(FQ+ zAZ6R6Ai|1xXliR_<*DJmXLKvW<+R+}QWe4hu8c8?4G`VvENJ`Qr{@q$pT118<`!f) zD?0j6)kt21^=)ctf{5Ii_Or{CR395==~Ui-3lWBl9y^@1TA`$4ZmqH>s}0=kSeDUe z5<{w(CALw5l&Pc|r~LX^INtORFj_qxXi)hNASHkk%gy3SH=MPcoPj*$sggN9o7`!G zZ2u47rIKO^X?w)5p&Cqj;-aE|X!fMUs7N#NE*c#P#F-@w;R1aqPrhFwZcEOw_A~|I z-IE%u8#0DRNdE(1tuoc-#2ZIc)e$@yHT2BOaGRefS%q@K=q+D5z?%Wnr4qW035xYq zJJn?W102Z`$-Sh+Oz55k#HjK%QaM$}n~Arvl&@PsOj<9^=62^5GI;TR$mruGC>bIb zW;3$5x;3=;tB3O!*AbRY)lbd0BXrs(kj(vU6!&f8c}K)S$?8$XNjt6#c4>Iga}EY8 zC$er>MRe)D?%eeC_w?7N!+IY+%pFNLHse{KhEW+95NKceX(*-h0hx59F6O4z5@5jH zF$`^Eg!9<|0*4g z-hJe>9GjP4x6eqJT@jL&{;q)dQpH9PJAS*%jINK@B$AiS$?HYT$pyiMSk)Z%#p==w z9SLcfz~}GReGFstK8)rsDuHB_;)|eIg~uGNMGpQab+302;i7NUcm0-~%R|`&KawUi zRzTNMfa3Eun#%?o9hIedaG1>%mX;@7*acWz_7JTxYC9<~s#K!i0FpKUj zgP71o(A%vvm|(QrbaCq@0VrAizS&&(Q9v;6tOoay!PoM%oxW4s7-h6|vy@HR$rFSs zlT%Pnvx9Kr*_qv)378tqoYi?wu<&P{wBqaPMzs|4vK=#uI+IVZ(T4n~@Rq#G<@5qd z%cfAbH(|wriWO1Bys$224A&(c2Ly@vxf`Ud(sh6(W2id|XdZ`5A|pPBEa%HJaR%kb ztg55Njxnl?3;a~mg{^Z4-Ex|pg{~34!ZTJ88k=?y(YkRiIrURN!mqK(7lFS4o4+H3 zuu8*UH=+6IWK#1ZHeiU%(mX6%2j+>bOx_Ur`=$qmN5+d4!*e~MNd5WAY@tXG>Kfem zO1y{1{cZ;Hb;$}JtX*s8(-;Xrak1eHKc15DgL;tJNimv>nWD9fS>@` z@8&~i$E?uS@We0opBs)zNi}rgA~C)7vY{O>f~=CE0;HQ4O5P(dn^QKGV0f)Gmq7-V<92K7SBH$MmQX2`0><1c0W^) zj-1NiAK)#E-s7)7?qm@|y;4G2d;b3bsDHcK=c;GP-q7kaT6^wgK2G{u_`2DqtIf>D zYc&1?Xc&<58m*!TvC6xOME-56K0SYE5@Kn=lvGvb)dX({Ws!SN4JPO%;<)+%dSNa^qsVL7(uqn{w-@i#BO{{yE~ATE4r z>QEUwRDREi*8iZ=fAS{#gli%BMD7OcQ?K(k`ryf1QIbNt{@Q9x?YvJK4tpTxw2^iC ztzBNgxV-p1f^|`*Aux9OzS^ItNvoLj^ z`o-`691mP+X+kU-ufo@%I2oS&2?S##(DwyC_nLEOij&TNsVJYKYFilt9gnQ6Y^TuX zRZ}~}VDr%@QeK(Ar<}nOtq2X3vuzy6+TT^0+Vmyl8q_rH`*YP;$Gv2k z82F1}@q_9~gTb?JVuh@aI~4xh5_WCBIF(0gTX!WG?75&vfL^Scp04l8YOz)DD!j9c{gJResR~W>csagFGJ|Q)dv?^IvxN3c{$# z)b#^A?|>ujLdhFGSdJCfV~UIh_GIib0wm<|qInVWe`Au5z}mNI-IM9uW3mL^O7Xh5 zAS<>~kxErua^6T9V<*`iWnc2JwlQMdy{XEIa=!FrTZp|>QHnZ$2gAa2WC<~4N~1oV z;yq6ZHB*yBamF8_Tixbj9i#L~5Z7$~t7-WG-kfqXDHK4QWb3T-xz& zvR@1Lcuq2-lbwcbq{b4pb?NYF^(o?xYA7?SJh&u`PT^^_(wCtd@|&80t8FaBJ1Q%B zfVwE2`?xJe{=^dU5)O$vsA$_5Zpdea&&Ez4l};_f7@pYFt*NBRaa%R91vnZeEr+w0 z(RA`S6-1SYx-55Oz{b@EY8rG{>-6!mxcIOGyVG|0Z1YqG;q~ACQg%BFneo0W>BUUe zu<|wj?T6VAUn+GW)1P}KV=s<0@+HA(lLQC7mYPY%;MZ*s)hs?G&HykI$sz~GFyvGu zdWBD-X$!2t4{ZFZU$mHfJQ0`g60g_df<8rU&tR9ju$tTlYB`tlGEX$1yiypg*l?1E z(`aWUIuIDp*dC68$}v+CFzp;9tX*~%Pa3J+=k`+gxtj9k%neUQFRQ(unLow;-|Qb z6GViCpp zu-NPfooTU#9#LDfWbf;0@x7|yKMiY;;V6t?RWD#vzcAIj6eC0!_jimcN7FsywY?H# z_z$r7MIb@RtTc5(jo@&!yh+rN^=QUUW}`nUO}BH^)$=ZUO4$lH`{JZ9DdpIzcb5_4 zvK9;!i#C6jxU~PuB$DUgEwNl79F38zkKwNLRo-D--=p7@k&+nPXZ>)=UI1WQN#zr~i;LSS(ZY zXCDiZ()tDYsxn%GKDTvDo=3z`jcY8L+GX7C;k#~UkhO72;pM|$bn{+{>F&37+7GpS zliY>GWG0Sh+UkhXRQTnx#4Mjxs|xw*5OzfjxyDNz)X9rn8^VnY4OUk++xT$|JfAKA zUl0cBr@${IqGNY8d@?rBH2-cW#fb1Ff7Y5BK#SEUN2)o;ulg+{8yfh`Tbut~F}DxL zm?f+pD{B|RQ6Fo?MokerE*0277XqbN0Lln(Vy^|`KHzOw*ymx*Y(Z{P@%KcfZ84PE z7IHcCj}`x`Eop`Jce%w<<7~xCUeoC>^yMUnb0b&@HwB) zM!IG&Z@iUro?o;Ip~xN!BcT^Fo4@jGxHEwmJE86c2FVEZEvLZ8(nR_CR!pn5v8GT=9Njl6C^m*G43eIWw3njtc z5{uJt%V)9YFkm&=#^>L1P)N7#vDox*^*T7cL#+Q9pMp&}1VTYW|z?Ccnxwk&!)4ujjW zyDspo{MI|o!$CWqzI%Eyi+4++nflP zq1n=n;-zyrch!GOFZ7SQG!F@P{)Sdy7ylNzHO9pU+!()lmdk9AxS$M+6pL+!mRdM- zIzAJe{$Xso3DKam#VGv3&85+y4U2P?Tn>$^?sYBqD!F0!*i+eR_@_)iKw!O}6_%T&jItQt;e1{#IuoQR zj)hx>cuSz{4X*sPoN&@-b67TOy*bytQ&W=JJKQR*Wo49AhH}(FN8C zdl`LeMMW^-w(?wZiwpdh>rQ~Gwy^`glGb^Cl)}+p3+c|o9@)LhR)tsdVknr?cF_3K z;SoLa&N2B#XwJ|G#1HM>}*Ds>x<0mg6Yr^c3)lTJLcgjQfOxp(9EVw!BVE z@^H-$lCT1=Dx2SUEU)7t+k;SP(`SnFI$0+g&>XiJ^Qrr^G~9<>b1{=FB9@B8M3FBO zI|@9FR++$jzE zVD7U=4;4aD_Kz^Q>N#fLo|8URzOlC-7$b-=sdY&)W z^osyqM+iw(OG!tr($itsH~yhS zJ^XEec$p}k2_xDxF++PTeqYdfE@+we1f#q7>GVDyG?iOKb^9$`ou2Bf%)i=RY1HP-8<;MZHpJcKA^WGT#%U849 zg4X9%>k(ds05In0h}BVoOQ^QW`;Jq*K7&e0nl5B9obzuf6dDm)GUl;<&M8%@JW%z@ zDW{~IH`pXh<584hhT3r*3>4OvF&>=47(adEy^Xm;!ef&Alq9v8j6_|o>a{hrU!I`{M=_d_W(pN0zI>o)tmZWLn3OvktB&X0`~eR@!Hw zVAsmSr{Iugl4cJq=TTGj>OniLt?&D3Md+FL5fyhvrpl|(wKk8p(`gBq3(fL{zqhF7 zfRk3@Y?L!Hj*}7BeF%5%C|FlggD_LI?K7!rv)l9)Zxokpb{2RU64Xqb3gX*YUXd;l zhY@sg&~)0^p2th&Qf`!CO^=@MGCEE&L?lb`Yb!~V`oi&-imYzKIPOjUYD-L4=AGAd zvNU^thKLT!%CdR)t?SGLXVe@BtSd}1_vc!B`zWMV_!>58xIZ>IOf{INQ$IQVeDtG~mc==fx!qKFCNbcjEG(@w9wD)Z|Sp(Dpy< z&FLqO;2^F!X7y=`;H8Ngvvgn1m^wpGN8fbJVBZLk)hIjhhMM1bD+e%Fczo{Ll|$@| z$(zPuwt6gTbxg;zRs!%=f{jM zYP_U9(*7T!&N{BC@O}T3bc~RYhEWQR&H>U50tTVvV4@oh(nxn~qee;y1}fzc>F!3t z(Tq}JbiBQ>uHOi^zC+g2Y8J_Bw@BVeR$tIZ%X#2;Ka{=3?(lp7KKUWk@ zIea5@4Sz~tqpAtg@JW8FCbP5SW9#oGc8~es)@}Q#e`hOeJ|bFnShM|)tnoJP;U|$j z?>|Lf-aSW4Cao=2zB8j#?`?Ub)o>hlV(uT9v7Hb&)kA}M?M{?>1NjU8+IZ0Kl>U1aQI@e~&u5PB53Ek92ASwDUyNQt(LXj5D0dtPaO zzyq~%BELyOd6&-58SeQn?AP%&baRhsGK!?=3kLZKCrKcF<1seEPo8SgDL=!^{c=tB zFT3KO6JHZxm)oSVyKZoS(yb)gnFnpXUmQk-UTC$w9$d&)rfhWYm%J^?Emz+&ekCfg zFIUF}9Ab!5Yq*R}b81VEAoN(kp zKL568Ftq87gN{9A_l43f6wN%K!X~8|vtTOwFQn3ptUI0Nw#)%0>|6K$dpaNEC~4zq*b? z%p7}dd;xEKEDD~6-G6t-pp&Yz_!pwa*PHTy{YBrGGF=3S-ZoMY@k9rS{Kn6!XYfjW z!U?p}Hz1PqW%w|m0Qu$JMn(2}LPvSRBCp4R3EHS!*h0~q9r05OdR*A*kX%e~Ayw%2 z8v;{mc`Nr%!_KZRz!9#cLV3&dmH_CAX*K{Pa~mr&{Ximtvp%Pf6ebFxLlNfJ(ZS-ks+RVSSv8 z0k=12acDkv*X(U7sa(|zz~hGC=MBMSG8WTmLaFv9*R}S}6S!m>OPTWfyx-mtIXU`# zv=n6y@cUoE3b2=J{10DXVxDs^z_uo)1b+1w%L!R2ui_|NT2W6T1@*^d)(K?MqfASr zM<%Wn8TQ`$nZ@OX8txje6J#t2nzlbIv@LktlhGw4{OZTlF2hTaZV|m!0${fjJe(F% zZ2dH^n>E)b^~dE;d-eyW!GJAN=LCOG?5HlK##2csMN7Rf%kjIJx4*9$83Q^C^o4!i z?L$9vaA99A^9>Qi&Ahds{cuTQuL zwuk$tSN`!E)+9Ne7XJ+=A0wZX4kzbH`p?f+Gnm5p%ig0I+2Wp6Yx@1jr$v=_iLz^= z9`@=MCYn?xmB%}ha`Ts~Uf(eftudYAPCq;Exn+#=Q_X&~y(Os=$XL$KYtKV|;xo2R z9%GY^yGP}sym5Ue;_%Vf?GoKAkj_MK;!-W5?;`Ph{N#H0l5gSc`N-j{cED%jPf39Z zE}*g7jh`Q}LnTEmD5YY@AnFTXi=(41qF$DAOh);qhgPw*6l4ER^F4`kMCP?~Hv;#p zOj8^d|F>sTc)C+{OO0pDh9~ zunzZk&}9dhJ+J7O**Kp#6)MF1ilJWavEo3TO44a~G1%A9RrC$}hGyk&@>pl>

Gd z*f9tIDXqF>cten_x@K#$eEutcBhFazff3nWvQX$5P zbRvn!OLmq&Yps9v%+@MT^8ER=u;V&p6Vl{zSZ?8FDzfjwj!i z+c35YX1fZzjHPFGVG5#>d5ql{zkKBvs(Fi!2?~OWLkmL}m2J>E$&nc=WnVjh^W7Vv z!n1$2w$GfoCp0=k%18Coey>)K&8D{?BVVEh7i#p1+l*FE8hkp_xgS| z>qn0FFrTyuDEYm(A#l&E(7%E_&F*u3z`ke~0CahI$b%`;8-Edt^rx=s*}BiJOSJis zDr|-dxewq-cr{{ZXp0A(BAC>w5)4P}4-KD?LNvk=qyuMy)raAXUnz52oF3FX*BlRIdS$@?T9#FQVd(~P)<>&mp)KMEP!4zvwe+6^%%$qIdq35}^TU}R(*4V~?9y~h<( zT%P;YnuThVA6Pw-BIrR69yiOG7WaJ>5h8PFAvdK=ufk z9QT8IWK^p}U;J)c482ejB@&#ywayzket`0NZ~VNKpx!<|{_z5lgF|T74FPUAjJ*mb z2`%h;F!C1w z&LKHU!}y#hZ3ZW38GP4wW0|K8qnTAWX_zag=vYk{dur!+|Bl5<@qutFk=ql6cSelqb&wAu+iOdS=+rp|hJRs9A5RSZW3!C! z_*D-e(Lh6613+_nu&{TusrgirxMtCN`c1P$D42$LU02#r1b7&E;qoA4l|@I8#30S1 z?i~z|`?D#rJ3ai#+E=ADXKG!2G@_rg)QWwcG^q-Ja+mKlAj*kWwAQuD5sjy(eNtFL z`ZI@Hs+~oH4l$`8EpGWeDJv7VUu$S!ZoX(}h>#441f5!q{LqS=Hd@NiSCx^^7|M3} zsbuNBYf5?WNiMBBm~b_SQBqvAHsUz>GTMr}nlz-uex0mk@x*Dv&_Ti5!_TCrE2{Vl za~Yd9XArA2QgYK($d!pqLz|{W2OmLnG`;(gldRoF>=kN=~i83#2W=Jr@ui+9+r_Rbk=wh5E0x7a4+S zb26pcEAP=~9aJ}Cd`EvPGrT!hSz^wSU+KUyNC)tvLrQf z)sFo@&Re{3`2HZu14R%Eh+TS_neM##kc1>P`(>KN%uaKS@sjt5mez)`u3BGaCd89P zPxv03B=`BayLX}!Miy$;N+u;>{_h$MYF4l#WDDJ8Imd!n{ zlOlWn4B6O4oC+r2wdB*4*Q^C(pFAEQmF`usYm_g9wjTZXQi8`A61yOM(h zJxLl z45$93engF?&{K3r7yRDoxrK;w2Sn2gdB$*2^6vMlKY(GhH{FQ5EyaZ0p4LCI}8<+rM#bGqk2}A$O#2Ckk>a37NYRACPt^;)xA8!CERkLqTv| zp~r=bYW1^Pu@PUUb@!n*Z>vJS9?LO?(Ns11=`#IW=u?7@Xj+d+oyRM2M>s#WGM(H& z^IjRnbpX&dE%Jj;iPxfhsbyDm9D!@NBFp)lQTNfHVx0Hcv?^rd16x( zt;F+UOQMR`+CI#EDUGm>CO9JPPi$1b%VKVlZ&HCeKa2Bc4+%EY9 zV?TaCN>wSxl`3at6Em+v(Rf3^WZukmTZli2H8o78=c0Bf4XmGy08Px8uuGERJ2K)J z0X2TkqJZKjyw(Oukv_7=g6Ty<{Pk*PPi$2QE@pJ*RI40(^G$kbn8xCQtH7lw0cSOZ zt-e``cL^-Vcd9jGA81?B>7CPKso!%paVe%%jhOCIcJ_Oan2Q?;j1 zqO=(-EicH8D}18|mWYo~{PBxX@BymVS|d`(HN(EVj?;Xrs`K$1t9y%qo|=9mMa_Y+ z0#hOeo_g-h{EQi@4Wrs`cevstm4$13JKz6)v@j82F&F#C1gKVYMrmsnR^h|v%!`2b zeO3C3V3?B!jJE*yXuS|O*F!}tcBtD<_h5;2 z%qEf(Sxh6zDATCv7&qf3{MShGW^~mM*G=ajCi|o&7HUnX=s%&r{ ztkXfh`le79>|(5(ty(0X$7tgEDa)c>-5sGAl2{=fHFNqDo=kog>O|cnt|YWvm`P#6 zqQSsA|NWYZ?K9zW_+SrNX>!ER6~uD&b3H>7 zsk1lUudxrNApard5Z_42PDAxWK53OTTN8EIq5Jn#5+zyti;Gk#FfKtCr$>(!ynHoe zy_kE-ng>1`uanX4&Z7dz95NOr-VnUcEdoYaWV-_8!|Jh%zQ2z5<<;CG6&@Ep7fpY& zk*w#fJc4*8SIa-ta@9sL`=KMc%vg}6B^*4FS$~(`ft>&8=SG^GE0JPd(O2>8{polh ze?<@3WZsBm338>-Y5#qCiE5$97*l_>hxxs%*G_i2y@nooHNDc{*4m!gRep6eaKBJy z@;mWfS%Xibm@qq4d%MIZhV@y#DJhA%tQqnCHVwhq>l=bfxmNDVjEBQN6Xhar<$JhH zgx`XuM5WJHi^~lZ&J1-v=Ej1c5j=HaF*nvu~p0Sw*mgNS(PM=wz6yN6Tz`wvED zBs+{hM1tAaEhSx5g^;gm&8KXCvBou~b!f!1r=eFLC2JwP@xUIwzHGrr4qByA^Z8ET zB3O17oE&8ThhNLV$x02Apb3*GPBlZjDP(GBoJ#z>byp;zH-3M39b2fkW%Mjr-KEh> z448D~n4Lrt!5syiXpKRZ}-g|HY{xZb#=M8U}gwRAVK4B%e`7 zvF8u3(n)mz+fc`MgK-p2i=W^Z7dHf*(Zv>NqHhE(1!N3|GCA@WvLUC9KTu3U~$1&4`kL@Zt-H?u4h8y$Jq{JhZgZxwiNp+Pt1JM->Jm&cFU|xx>m8 z4)3K?Mn4|Qc9*q5(9+YdoX~$u2M#}b_>;=9{@8S1Nhe-lY`x>UEBrPU5b4dOOwRL~ z4Be77r6D+XvJXy4ng$oz=zy#4NYufwWThWnSdlU*_M5cJmP})az#9UoaYER%UDiaQ zVYK?W(TBPOuT`BlbFo>gu7;*B_+I*}UB!)0OFsFhgz!_io0#Q^y4OPmN!=>0t@BXE zLe%M=t;Xo&y(x}lr`C^;=2IGl6tklHzOsYv*E|8pp8Jl*leWD#R}fnA8$7JQbE} zRbnu3wh{I-5s(q8?ZHQbyH?DX3T?YsC%{wzQXVdft`a|)rM(TFOX`cJfn};Btg6%& z@ZFVyze(tKLss(^5s^kyeBsunc`urJVO5c}qp8|GG1bR{(Lls=+j&hrU7)t!-(loaSfPikR3)VV)El%ky$nt$tmn|8ELi{fqs6+~ zqj*b>+$bgNP|fJkc#o#iPz)f6Gb`WFceG^77u=urV5vYS+<>pff+PA9ONl&3rwBs; z8}D)q?lW3+*j!JA{Vc;ZiBi~+XLd6aoj|)K2|cJ zw>UCcxmmHL_T!+QX8^`BsxxAsi@e{cRq!F9P}IT7W(X~bC^C~4p=vZdlv}{D6@J$~ z-%{o8b`;P|m*%4jukR7TgrMdPUmFS1%?uWO#6Pb%3?ykj!N zqUFTn>gAVxo7Qx6cZV1KIf{qYI#Ir&d)`{6#B$?AmXzcSCLW6cvVtb ziF?YF_K@UD&O3~v&Kq1Fdvo~hwPWJ8wN3f?6WGX)?`}3}59G05RSR&?!0*uT ze%ARIgCs{R>h*M|Z^4TV?h))PVt;K+#np2|bDji<$>e;xV&*^9R)G9ltcfr05?*UmiM3 zV=P|Cu!ZYxS&{3LBSuDP?vM3pwmJZbji_}?%k?yeqOJar-xK23Dx53KfZW&jXP4vB zjMR_=?7fOSyvGleMVaf-C<@AiJOi+Gt!oMzZih#TscedU{AU!PBc~D1>rLIKqptHxY>h9*RrKIa2YxpnC1A zFa`b^*UeN9k;{>E!V)9(zh((b3`Kh05R@PY-dX$!muY?67z(v$r;?yN>xl&|U_CYKE+lXcg^z(>UovK0Tkqcz5SJ#@)$>ihK^>Bth$Y$Z(IA z4GW_bQgtqAXAOtdxYs99y6Ogw54&eI?32XpIfueEXYnwRlUUbz7~^=1Kw0$UyPo=M5n<@;SmCRZq!QgwI9H1 z_?@^lEfqmrw##{~8E&8n$X|IOQ5hFGSk$9F&kNf6P!PUQ`Rx0l8E3MZG^*`z)PhmN z0MG?It83jE-M52B#vTiWE8$u^ZA|#{VDF16S%r`;v5qZI;7WR*H_m$6oTuTM@?RMj zb^hw*{t}ush6M46vQxMNHG8W^KhApBlq3o`=;qUr4~Gl9`mCQ3S;)#t`Hd`=p(Ms& zv*+tYtSV4aB_cRFDnTzWP7K4Iwc$FQE3-P1ZT=u)o$m`b!cq&RU7n5}%cF^(P5tW% zxUNB{S-SB=*FQ2RaZ72WQ#?u{UNUp#NX*OiNyFQFQ6 zn)Q1Lp^A0`5f8pHm(dwfKTATYvrF?X#TKu8^?86ITQ~W2A>|9Zd===&-2PddS3rPL zqL^6;4zHI`89!H7alZxX{@ZJSfcSQ!4S))H#_^-wdG4Q8+P9cpo{VjBuL)Rjy+U&R z+(eHMUqBa*7uY#&tB%_SX70uvU#&+&CnmyhUcYFK`L zf@Wz&+I)yHm}eroF*il8CNdrwOZ?*Aqw^>5U^}k4IyP>MeYa?DdY7E9g&2i!>QVzb zV|~dxvnK1_lX~SiW9kr~(o$rx;{hSp>G`oA?U3go=}=jGe%#2ISoS?h2lZ$n*I#xV z?=oAvi!G9u?*-9Fx&9epawr%P?+0qRe z)_WBg+EPeHb1m~D^)MNvZ>RCkYKtZJc41xCGJq%3QBUlfaLf)~kRYeaRJH8!itNiL zINcBWh(mUvWCtGRe5nvQ8x`EqRuxy@2t+_(-|#!=2)^KVh&+LxO`c`Jr;5&_(HbpE z;*ooG(hhUXoOVmQOpcQ+qo03Bpbo3}UPd{Vy?tMQ7@;O|2A{7eY0>f>1U+ibN^>}u z_O;NwA^3IJ_dqK);f8>}W4m;+z2HleMs;@l&LKOaaASy!0HKy3;SIr9_}|SQ61m48 zqXtKC)Pl3W55k|(?Yy|RydM|@rh1x9Jk;}Tc5U+|xzd||x@arzZKZilV$T|k0S7@pT23E`vH@+f;ROV=_F2`N(PxoNKMZ7IIXRC?<}~@JP%M) ze5`LsEHC|rvuQT|(WTDFevVR#bGqzDyBE@Yli#^tKZRb5BjUdVzigw^SpFpSwYq*i zx{=~nK!!9Yfcs$NwWlurs(B(rBDnib(6Y z1p9+ns_p2hm^=Hao9iUfqGXQALw0Hzkn&|=kBlflUN?&Wrr#1-jaS*y8p2rCsz0U(<>jD5BHZ{hqm9)tfIe9 zd~f|ka5S@R@fR!%$o0ML^Zyxh;7mDwl3m&AAM=v&C6( zu6#nNnA^=XlHmrM4T8uhpU+u?#z*kRPpi32Okyc}JfmL+SMzTtUVm25dy|y6YXjGw zt!;jn%ePW&ix`kA50pU}Qxe_tgocSEmj07COCYS-bW_=D`2`zGYg7x#A>x_RTRJ6_ zdlF{7AK&M~WMJ;mzU*8$W6=s9OBS1Yta$aWGvn6F_!7bY(W3p;+Y4?zJ@Y!3r7w?e z2y%fAn67m4t;s?iTcB3FVX~;DR$76{4M97qHBW|~fvLGTZ}3wF^eRpgw$Kv~!jLPNbd8O=iPn^2nItAV(%IK;Xdpt(jEm8Fan(TP~#)ddIgwoRT z@G*CL=+7^LR()69$hp(KGd8U!J@mH|ISsDOc$6}YCqulJb;vVR%a|^Lc-cj`rFy`{n|uRhL@ta8T`kRd3gfAB*hBiYCv z9=sT7ezvwdLgjcc`sq~qVx);&L3L??%+x&p^9I@SK=||N1s?3Q{QYnF5q`tjHVy%G z6%YBY>fc9ZZT~2HIQI_*F$YkBM|WqbwX+`l!}czH4SMMNtA4+L1e!|z$4feJm|NHf z5g2zx{z)RaHpi_=D#g)2nlXm z+T6n4^Z*`S2{mz3`$zwQIsTtl8nE0E98)H<{h(437X4gBgRV{^_>8-y3SL_eZ?Xns z{V~`%3^uTF=47eLvUO*HZ1&syK-Do+Itl^hcK15sT0B~BRLD48-wSNAc4HY_nrd$h zX;=C1OT6p&h$25Xxc0-};UI3u(|op-CO5g1>`dU!l^5==tn!Bq)~iW;~*Zfv~>z&;90 z={tD~p)0*7I;84wLvTx7Jh+x^S`PNOHsL0_P!2@Uz3AtOR^V_mfDQN0HSnB}B#Pl_ zgAERw7I%k38_h?-Qr5(Vd-5lSy;B+6dnDrTsBYu&L zGbWc4)f)oYM6&un1;A^Q=bdS93OMV-B? z=0%WRitp*VO=FN`XtL!hEj`5j?IdEt_IbUS_1q5gkW(JWlzJ22Scl{`LRC9XfUwtD zKs0Nl-J!j;X?N4WpeM`a`O!5fVtJ+|3Nf&zGZjp;7o0V4&~V^))isZp)X+}dOB4*Y zbwhoj|8W&#FFz9)&*8v7GMu-2Lon1liVuAkyXZ&3ps=|Ucr?Bdz-98h9{XhpPNRsB zf()fx*j?pb2ml{S=3Q2&90e`Vlek;TU6tGrxFrYK3@o11{@$^?T%g)5uRIg03Q-#* zIig^`#&t}@u_-)2;n3}py?x9DCQxwJoh_>=8Z`K#oT{q+RjTO4be?xjN8X=G1l zZ9jGf!X-bL&G`4(xgdEuESP;u1K&OYDzfRgHarQ?necP-?SV`*dRz}XTZq_)w;->0 zKV(=!I6`)vO$=7rnunzR+z=p5&H{?pwS&;dm^LfT^KjW=fLmPfcWQjlkn93y#AM4= z&7 z`=YyjReZ2z3wsP43uQ};I6jsD`5vsa0Gq^|cswRUDZZ_wPy{6W zkyQ)w%NJs7K_xIQTR9rA`{mq;*iPxKng?THYrU{0AWD`qbJiWd66EK2)z~DFFhzgT z0MgbvY)?u(U4Pe3$Eaw+B~}gG;~+L5_hoZ?gWJPkw-5=E^1|p(y1@>H^1-djShoUt z_KhGqZE2 z0{j@;|Jo;Cy~AIvS2Q{IqB`Y6`G7}2p=$%Vrmqc<>iC-2nPAViAWym3$mX^eWm|i2 z475XSZ2r_A{sUDc`7B6c>?8_$;4RmR{)@(la$ggN<4nZv&k z9P0-*UgtvQtJI-Z^6BC@g<39JPq?F~@-63FSX%E+w3Y$BB?vJ7~y_!acC* z{7lxLgzEvb<-jt!R*=pykRkv)D5?te+HvkqSi&4^1;oUGv3?$S!1~hh$$7eiet2!!or;1j^#4=P=mBz>o&2r5dv)bU3fTYEa^%M4KJXoE=W`pds))auJPDw5H!V%27-PcKlQA zFtilH+wGm=1mc3V8jv;!Tm^RRYZmlv3r1kMZAeN0wX9It-LljM>ix&z!F*_+Z*Vtf zoN)nn`v9d;?eJs{`ffoOs&$W+F@|N>&kK$^B0pjf*H+PH=uI=Y9kvQh?86u@cx?o% z5W}WIruR>U`n=Zfi~<%>cj?G-rn;@ViDWRb<_ip5|9$SK>Lk6mBHzl-(~q}$J|Bguj?LlM$R-!|UgyX(R`MnKrz!kng4dpYPi{|b3mM42BtL6E zH3+tT1B)kX1;$b{w%0AbI|+FZ@coUXaHuIENpjUy#vE~$w*q&04ya%}h?I*0j!|y? z%eCu0d=Q11K?f$UX6V>f;)t{cu%HS{K*FS7kj~voER6>wfQ#*7h@&4~-5%hss6S7= z*r#H0o6jLuIS?`XmM07%z5?YYO8)s~HI0ihv`JK=FC|5p%_Y{vvaxpuJFtd@V+I@K z{1hu=Ci2&@Rco5^d{l}yyIviU2{!!uL#$pt8THIw>r`7327kuG1Cu!uW_|V?Q>^_P z*xZ8cV`f##Q4694&uA@osbbjf9JBy8gY>NSP8)^d-Gy*Li&;w-O;=|t`=DfQ=aB9p z#>uPo-7vW+_La6PJbGpHEO;1u90GRhLFfGS-y8qe`QNyQ|Ars`ZvrwA5fSl!*U`KZ zJhw=+xJOta;?^PgzcloJ;vN7?&%1QVxP)qSZyR69s!`Xrjp#M!8SrE|43RuSD-w$? zN~(%RgadO)nB8;98105uQ!Pn2pe+aA?5mBE%?u&$mcWaaP_|V;O9->aYKB@zH5$Uv zuaw&_J47@j>&;VLI8cqAt&V`)vJK$fkIlIC(nF1e^QMyPg?>c_4F&M@rR?oyV~P-- zQ9ge1;aH+4Ro!@q7W2O0*ge`W6-Y_UEI4 zvBV15YlAQ;v5Y=G><%jej%lwP#7sk0IEE)R&WA5axDi(@X~*-j*TVVe9US5L!1bnnqPJ+#2?59x4@u!HZUjR>!d9O0`-~6$*Sq7Ptvo z8M4f@Zgu!Ax9%2Rgu8uoM^x|K|}aYN8j*XxuK+8=!Ef8rk~Bv9fT zDhxU=sCSqVNKE-!5XWIaH#QX12m&FS7I57c+ALRFxnX;=8+b)#@5PXwP=UtbuKh-g zb+0jd@K3xAc-mYV`@7z4Wp8`M_g^Ol=C_gVS-HAXfm0;B2R0FYxY7oSk*qY9| z=w-p#%Ofe#r4-bLvg*u*LOx{QqCGj{eo`zm9e zJ85%nY2cFVpDM|b4N9_q8b;PE%YwR9bFekYa0W_>Ir$lfokk_@9ljwfHweMclG-9& zo#VE`e^BYR;)oBaP5gkd^m}n87Pu1}Xa~}sXAi>6*l_lWHbv#nh@-izOF){kqOj)M zyz=YfbBh}S)mvELB$WI1KmRu2;{g_(LaOvcqeG38 zv{qx-1(Lrt3~hmJdHYM#o1c<*XcPp_*= zXKv61yqeq1s;PcRw2H1(S#*dy^^(JKYnZgkolPa-kMd!`VFXsxI$%3libGF!6sYJW znz(s9N|=%XliC&Cy?8^vRe7CyuItHe{PTjnZ1FNrF{FRlyd%G+AZqDfzgQlKx&bC3 zS$@w&cpQb*N zNIT{|rn&{(+d#_4pyAe2L3#HA1|sGD8Qnt9$uj*zasncNNm%#0j z8Q4*szgs%W%{PmjAsJ?qY=`Hui>UemI_6?;2xcl$@-55hnKApeteZOz+)~MU7sxsM zBmB;oae<}j^#tSIWo$qq|0>urgG`$2Kx z&Ye|h+E$g(8tb%eE&HLzOkvL>xpB)q$Ng?Bi9JVLh?Uy3bFbtE46Ou(Kk-%(b-_mJ zQDc?e7}rc_R=(KtdFQC*!K$8uHp3xWxxtfnRjgT3Tcn}XODP#pDJV~bK;?z~8O-+a zZN_(-4KU&Y;!l3qK(ViQ+{!%g-6&F{hdITvZFGwOWOuMpnUGYGI>iBRv}Ujo%diwr zsT_y|Op0Mxomv?hU?E;+T^<3_1s9^#2mQWXMq%>Lgr<5@W$(oLt>C}~sAI)c6V_!| z=(Fa2RgkuFC(^Iw;(92j9k}1m5Xf4cLW~B2lFHbZgL1e<7u$u=>>`O7p;a6lQ0zqu zMB+{cPI`=C>K_-oGj+L3)U z5THZL<&nwe+&y(e@azYzr6^do>gWK?4d1r`0uz;j+PFdF`~5tqf*FX?op;c_>o|rG zwX)O#T6&fDw_6f8McTyMLS7iClCiyTaD}&@J`|*dIE?lv<*!u8wWyBl7j4c}0h90| z_t{_w)i6xM_bsFn^LmFod4lX&d)--3qadGMICktbUpNT8!h$-88H{~q>u_(k376{S zJR}LT?&Cyv z(gm=0%y)7W`V?A3hwNX8I5m(r%x7Gx-50MKO19>X$bn6Bko0pSsIy%;GsUb?BOMMi zAhc9#j*t{D#=*}L9Gu7|%wG%xgCu!9!b5CXFWty*FBK$@1NiufMbGSetuX&#b;k}v zuh+!U)GL!%KyL%7PjvuT1J?ixoWrn-Hi=P41)>K!3me3XG$- zA;(i2$GF8=lXBei;R{rPlUf4nZ!@>Q(1PuVhHWI;woRXW&$GjXKsZ3KWYXfukhd{+ zHv>7?X=U;IZd(r4_?onH>=wS;Oh#VDrhGr}aRSfA+Y1_08@LMWRKo1R*DGj=6*~)V zV+*9yR^{c`_vFq)QYlmeH0Iw~kY13tqn#}?C=O#VmO&WS{Azi8mZiU+r=S2@G}^$z zVu6;~GnxKOzOFZr1AhB0?RQ+RwE|iCLWG7vYE(F>8p)8z+6H$JMy|;n%z%YlhuMl}>9|v0O;5 zt$^rNf;D`TZM=;0gaf|2(B4W#nD*!`ZN%Cp20uKeVfC=elUIgO3GIrszRy)>Fi0`%1R`#nSPq zM(y`&>U>E{-P>2%@4%JMOWGigcB(<0ISHUzE2G_yhXpV*egOT`$bTmZ_Dg*r4kHy^ z#8npcg|uO2Oz36tHtG+}62{UgLvO0UaY%9?N5vX)t(d=meZd)%YRSRQEs&Mr{H48i zsL47g8X8HKkhv%S=5If=ksY?jw)ls+JAbVe7VBSe;Aa4v;pi8wf^DV@5gl9JS(SI> zb|j9G#iv(c+x7lQWb`xULc|l>!!{Rx&k#1)8X``_DiZT!1@`9lkl_Z#tR`5m==K(=k3$>^KdNb`$B8W9+xN19v-U?c z`t9>Y-}y(KaEqmk3R=5rrdnduR1EAhaI!HCT-vIlJ)2G`@Jd2QYl=5re_EPsDRLfm z9z+JTi7&EQ8s(5$i_W-Z&I+nlOBOsd!7V`PK3q0^-@-4^AK+DP1ttf}uu8pKiC@q! z(E8h`%bblXI&PtmdQ@-I?tio;;5LBg_8|O^+xCCWwgk6s+y7&>t!xF1;+Ouv(-v?| zeg9*QGq>qmm^p_B(@e>_wEYQMx4~1*-0oZM%k)#b_?J=@DL|fWt*Vx&{gsbhv{Yd( z-88|Aa;|krP&zLF7CvA4z{n+C#;Z{ul}1)#doWSwD^j3wp2#n2oLTmV+V9<=K8EmG zz%sl+pWCCqgRVjKgqR^|_FEh>&ych4uW5P9z?ZI7FN-jK2hu`O?4d2 z5qhfq!Dch7C9{|%x)#3GHrY;d)HDl<)L_;}s)CEPTM#vO?23DRBfLRZPBL`GqncSA zPL73cn9Dc<^eDf)JwCQfs2Z-|*Quwd8P;Zt)S}E{7Gc~pJAhVkFDyh0LyMr#|6JxR>TV#P=&S?p3Tps#R>2#ILNb%*qWw=!uN(R(DdWC2-2ZcPjNN z>ga+00AfJ#4szd!XL^-QTtTaq+RDeMDZTOTB_VNDqvlitDt|b*wNI>{n3FTh>?vlc z+qU4ERwKbw0MxDg$07UN=G)Ue5O5cCaUQ0rk5r%B6fuu1suW7-#4S+xfF3U%rE0aB zmuebjErPq1qIqUsu80ff^XQ1!l=$wXdYi!G3jD@3RsGA>rZ|}6*5})(Jer1mc!s8p z!%=>JgX;%6o1D!u-#@@_6UQ)l<)1hEhFY5SF;mXvK&{Hmr~Jg?pgtxrA-yy4Gu&Nc zGgn_}m|}Cs)*ePz`%_ts{K1>FmHynTFq3zzaWLGj{Xn}AVe{Ox>HO9dmxBxZOj}cH z4vM_xPGB561y7~MSS?}q1Y(Q7uj)97QI>As+**tY>@EICM(OqDU2CsWuEr4-Ra8Qv zrvohAtolYR8twN#?L#qSUN0Pbg|;-sc#q4f>bEU-&*o@OCN5^?_j7faIIiU%IgX^) zbBX?`d4moPCE>*56To|b)Z$Zj#H?ml7b>R}I_@~;QuQmmv)tx!yuuf%l_<+G)ymB4 z1Wa``j(eHQsAgAeGv~M$F|s1LftKzD20yE@RZ$*X68OPksec;WOPO#<#!%F3eN(pg*pio3;7ley$ z6!PW`FVfA+hYJC5hXj2_BdQ;w5a(?fvl)iyLYmHB=@Y-K9~ikR7nm-1gPvY9I6T7j zznNP5hPju%*{gigs4rU zIfZe8S9pe{H-|8*_aAw`tR7jMN2ulh0Ff)d)OS(swl${~!0B!AEM5R-?g_2m`+o%B z6p3SGW)&FD0N2Qfo2b#I{{ZY^PU_MC`l8CzDqt%PvX%6K4bm|@=j^a;lN~|-0EmRZ zd6baZ&G9Z$?;F%tgLvB%q831=fp~+0gr`2>T1p3-AtV#eAUpS?K4)&`Y3CCDV4Jyo ze&zij!N#J)jLXFPPl6lo+~N~_%v>cyJW8wcD>|Ol1H8=MXN@sDGPB|shT}hQE_bMy z%KrdRl=4o0?EMorF*Iv%znNH@T>I3%Wn-CU<>GN!fI1Zc#nfMTIO+-2Sbqs+F*0HR zQ3mf>F#V0IefoZ|F(AvJ2i8>)yXIw5!#)B1zvcqibKdP)`bAqU^Ag4}%s2K!MGSIW zr5NU*mtr$HMP5C`U9Ya7<*G>WF@@HPKC#TKSKouDahJW#pP6O(moD?Uc%yK)Fp7r0 zvp9yK#Nt}6&*1yRo7B?JP@6LCjmzUoXU3L)Emp=g!N1^rENnj%zD@0yR?f00iV>ob(~ zYh8V1o`9zDUwF09Nzm!;zM~t55RW6w%#a192G7kOGelM4JB|ydYx#*;Ezic|R_8M! zokFIldX0k{-OPbWh_>6Q$Ss4Ii`;eeAJk(s%~Y5R)0KU9peofQeh+^mp=Ec(KpnO#ES&w-oV;xVqKCXGtB`-3YirFF#o zoX&OX70%|3Lazo0Ig}cSZs#4uZrkSIQBBrnfHh3cZAgXD#}QsrXv&lBR$g!Ucr8?* z(2C`&dSDt-s1m6!6;<50b}Gg!G!`xRh@co^7?`S(CP||axm;nJdRwo|yHE-v=OlD= zC&t}ErDA5!^OOdRx&;pnwlXj6EfdywK8hZ0p;IRMHr$`C;WW}a9X=^IvM>hP$*kWz z%6fqn&By%rsB=cHst=^g$5j@cvi#1CPUXX#DX`G%!^W?o^e&a=7CURhb3Pl@Jh#m4%X zQ!rVB{zoM`jk)F!!=vvKj)^rn2=EsF06C~+8K&3b;CNQ~xmSbt>SB#&%MOwk(7v*k zu8oxD65wE)tISd@fYzPZ5;DV1KGAkJ6vye#E+T*utMFU5T}sedITLZtP=|S&?0~C6 zjkOL-=o{{R7O?ofUuvi(#&+&s_jW>o2hT~B+4<$Y%7GQMtB zB|0@UxA6&{xSZQDT7`VhadR*EE5vo1oa~5r`pV{bCTU_9;^B^G)LG`LB1NF122buH zxi&_%y+MctoVgH}exL;3;lF}l8(i3xFX_^>SXc|ek87n!6*$fxd5Ct`T_jcT1JpKE zYd0CsrXsypANDO+loOS_2~mZ0tGix#$56=K5}zeRQAfppc$+_keP!m)w-2;r*yfu4 zCCgnu-sd^zm3;Rq!Tp$6$wC9u&*l>BjzN)*iYanfU+=`B(@R8aYPooxMnhgEhR-lo zz@yeHvNCMw;V%1ju*v@bAz()v2g51|KO6FK2O{jOWer`+$-x(!gRH_B#-k5$dHqcH z1L9+-XMZq1hiyYp^9A!!GrhybVrAT{>*@RiW$m(Yd4;`02U8ytyZc6Y>-ce-mHI~` z;$#qNA!bVVm>`2nb{en5sjatJ>A&Cd{jj9Fp$FqP32 z;sXrJuz6ebLZ5~FgZ;x9al~5H!*gT|L5mP^27JxJUATlUGs`|95B&Zaer0D+H=mjD zHo!-7@iSMsTz;X7m+DZR+$ga7&l{TpL-Ap6gSfsj%M8`bc+Qvs`Igc(`jh^DD^MQC zW#8r(ZvDhi*-z{DFGP28Q+DGFvlQP^W}BN}m(~Xs$JD3fg47dxS+b14LGg8Ed#uK2 zRHUuFaTNdxR5H=J{{WlR7^Mb4FZF+~hz&t%8Xjw(5SK zE;bh4=JTDAHgnmsBWS`oGqa!0;EY1s?A4Dys3oaQH2_et_b(4{E;CixtdBvb%D|vF zuokC;!}*J8-wO|-K49V3B+4`}bN>JziEyg!m;V4|{KpMH5Yzs_dxN+o-!Xo$bGw%@ z313;_;;1ms{=vlcsd)E3kljjnkNXh!Gu#|?=5Y(Wu<@DhnP2LO=MwBS0m1MfqzY;3 zYYsd_B@elP4NRO?GX=?1t<6`Wz1FTSe$dUg>vaDBJOoquf7mU-iE65EeBFH|AfO2j zD9!h8{z4@TGLh9<8`++*Uuk3x6|Qlf&v*S064OmI}c}TcdwTNRd`)ebhya zXi<8X-IWpwLw&4-A*YlBnbkH<4S+)~x*tZ~T~T6~XrAHp$KBAL&Y z#2!vWFVG+%VKkfn0I~4`;8q^ILymUstM+pXS+om2`Fukx#nmC~a}w(P=5Q_41i61$ z7hg3wXF+P%HfM($_=9-Y#2CMW1m_XzEJfGw(=74l_&C4JvT=j>F14BWOYf*v-r=r( zA?8*+z>c*m69yaS#0D*wyM0oKGAu}r_+l3e=ZE%6`;QSVpdcU zL0h~KDhXVpJ1ZCJBUH3bE(`z|XvAf-#!N&bcAEbHXLAq**2ZYj2k-9|3*AL2T`3zB zR>LUYU+sTUTpfPqM$%jH2nnNvvI`!6F8=^=MH2Q_7|?|e9Wl$)%Q@gVg`6X23F5*;#1FC6ux`32N+_n|L{9bn!W|2c#sodwO>T^{BamSbttF!nB zYIL88%=|}RVhkRtGY;n~AhFAJ=gZB@zNPJS_tc{<&nD~4p?k4MhOKC*zqxI^fnRuZoKVkSmyEdgJ5~c`YFPO1;V(}MnG5LbtVGNZG}z>L)cQD!l$8x=wt@kJR6m9jy=G?o<2yz|tGbS*S^PyT7@=MJigZ z*T>RkA#NvTAII=XieWsG?;968Ux;t;Z_HNXJZ6B&OlxKP0k71plOhCRP`fW5U->N0 zu>!jz@XPn(_Yxu=pZ9Z%cxs~Obg&vxK)%=dj>B-NaUOB9AZ=8tsg=Vt6SMje7E3)v z09$@#%NOYToT7%XsHnhHx(QCmR8P_7DuB0U*+qoe92*ZhlnNpEWuSOLmQyUnKmbc$ zN`lg-o+TM8=>a2|3ib?&scF&cBn0K(cdJJTYNuYcz8$qJst?$Eu3eTR6FK3PEoF0N;ot zCC?c7g;6Q~MVG0SUB?{E>LWbO z!HvR)WEJ8#r!tjkmQn5=5G}YFIfridFEK@6dpYO*#L|blThH^3^6TG!YWbBwJUIUV zcK}f`e50)R+&1Z;d|3XVmY1wXq2aSJs;CX_TQ*@qZ&{gi5p6=?6*5~?{K9+=Kv6Mn z4tXH+xCRu(1|OEAS8(etzmHO4!-u$t5M1(6gVlY=@aiBi5rE>^Y1Xv3f2)_23SfQS z<1!8hW_9F+s9smuKJob}?`zx%w6uQw!f@gsEqz~6D%KxR%h(`#q0&l%so`@A0&tR) z!K6RlBjTuqU74~WXGNQ9L(IQF!fXB9vFjm`;?1Az#}Jqj$?WDH6r4Jot??>hqFuR@ zG2$=aF#7)h4AyCZ<`?ysm>HPpD~Wad8jUNv#Ae>{0n6eKQP@+|s)3dw?27ty!ZR0Y z%%LPQEEBTkN>BX$R6`0KWXUN}ji&JTxoSB@y6*vAxrw4MCxVVQretMa;I7-^(6T8Rs}=U?j~=->*NSNMh9`0%e<^Z;MKwaJ!??>% zNgs@=^USLSp{Ocy)*+c|D*a#TYUii%w~0cd39ICb3A2Ol4=^S?APo)>WSB!Wz)B|F zRT+Qe%pm^&71X+*GnU_We&Qa%^D1tJG{h4_d~%qSBWz6u*B1y%F)wvZ{-6%UOY0GB ze1`u3xVw1;-wvKL5b;aQDm$~Ju8YBlaE$=l-%`5D#Bj?RS!c37qt(ALVqFna24?Ex zTwJ`(1S;R$_JjRH5XA77NxID4Scla8KmetZtbB3eV($|+>(m;y2Lgg_gYbW@CM8VX z4DtB&C{lr1xoQ5F)A_l0->xGms#iOd2BE(<09g@Fh}yy;F#TzQ{O1;&)hN=y2 zr5afSy#wZ|3Y%OB2T!fV5*%kSKHVx;B`z^Ya5K`lPg`GqMaY|Pe1?t|+yR-F-jV7N0SlGuOD zQL8tT0=k2gZ4MX55L^q3)9PM~-xm@%u`;M0iXxyjzr@>~OuuXUlAN@;!oej8{7=vD zE}-IHBT~zk#2rO&dxq`~A-#VJm>ZT3r*~)YADPMTQ3In5QWCB14$zs=LGJ!_{YzBE zPX!p&f37xVST=~&W~%Gy0L};P{2Pjx4dNc%Qa@*yaCh0)sfvgRexPVwm<{7NhU7&J zIpogz=jk-VdKGgS5u`%;m+%!^7S3L^5rMpihOg}@s|C1sdap156SlG9Q!1to3}51G zLg{eIQqFR${a7zj#}Q;mEPF?A0@!OjpAZ&M)DUAeSH5LBULtELuMvIhE|LJs@MQ4D zrA7he<^rWYR>`K%%us^B#apbg_Il(51~90?uf`2%&ENe>RgH2{)j?3rT{lG`P4r@5C9sCYI&}C zjy6GYa`h_%Ye0)grNBFoOP&PZXbQ@3<+eE)5~?DL%NiX1<-{nCFT_hG$!vj)c)Er| zT)xo)l{I&WgpK7dB0osVQJ6F6h#LGyvg_t+u!9OUsg^{*0pK_AZ0B_eaU3kXWu`m2 zLc>fl8ruH=q+AK1SJGlqm=`@#qG9i>z6E8zmC?(X3>ck-U5-9wn8u_*)?=SV>R5o_ z$%Z%G9GR#pPfSYa#!sxn=1{D7dj)xQ!33&C#eclRBw?GpL_=4JyjKMm79LJh`i%iP zZ3ZC$UYAoM{0JlOzxfrbj3r|L(d1OS7aJXrAZgg7g`EBxct{!=W&=h3p;{;{Df6aQ z%S%?D5TBpmG~6GVd4e6n2TPbZzr43u{vAwZ8S?`IVk#k+Ez;&6KbfU}stXy^75ax8 zgBl8GtuW@le~G=wP|*C#Wc-i65Q|BLwvWo?;x03&HJ7JZWIyhrgQ8lV4U8*&F?I;5 z`T%j>Ih>_Z@tjx5X_OJ>AOUr9jMio*Vh#_wRu@>}1}r*d-P5uLiO2#BSL?*tD;KgKM(uFXcuGOBp7{#;B^EMV*?`Yn&%s@akRDu!_s~oJU|v`8MLTXMV(Y? zSCnt>7K)=_=3}k8#}g~RMVTY1tS}Dr+nYF8^YiqIO#+NUTzg|Sz5f8&V@dqI_4tHZ zyQvEuKt9e zD$pE9D_j_%7?f^O3GlZN;8Kh<)8d3Yis#UUBq;h$U>77ZG6b2zXp`5fNq2 z7pYQ!^*C5J?jkR8&VKRkb6J-GZFtC!Kx`% zG~uO#WyiT&R%!K^r8&RFko!qOO<|_obu0k^FT@tkg0ACF+TRf|q)3N5_uRvpYO@z- z^9OAeIv31SNBoL~7XBgDYcmqrE>_vzK9EpTb-1r5<`>&s+^neIh@q4W#!G)-Ss#(> z64c6k!!B+lc`o6GvV`pF3dp|GW#k!o>FkMFSnTN@nB*6wR3d|3dYI4)*xF)JMri^1 zimW=~ej$}Q+jJKrkIE*2#vaG^OX>$;FcjU%gRLqQ`9;)NsQ^#Pl>5R82J`Vs1^q}+ z7Pdpi&~PS8DELwKg_nktho|-Un*RV(V*W`|Lp)=Z~0RLA>Gw>6T_b<0=r;bTH82^%nwmMn8J*WI5=xan(u~&lepYyPHSW z7gtp~g34gcM+%-n@1Zd0=>DQi#k@{^{s@D3bMi0`2O`N&{zC#5MFChn zR<2i0(u$+uS68@;87N)uqIfRuEvnfmZwE}Kvs^qtrM!5HRBrVZ-WXw~-XUT*eabGC zx0o(g&R9!J%pFAqnvmrJlEH)6yWKM&%V#pF&V9s|8DE@CICAw@D`ejWsrQ^06dt;j zbbl~JmQR?0ZEWd)8@)}a)2lg)3J%ud zql8^bFQPJ!5ma6%*PQNL2RD61lVxpT`@l5EiAuH~hAY7-qe}n^m3%(Bf{Xf(r_6&v#q={Z%+6a^s9`p~=;5foxv@Mtvwv-;HTAf~{uxZDrYa())>S)P{ zN}<0KfJhEmm`3$rYiD_=?QEqEI43wU#sz1}d@Q7f%%86D$?B_%BcZa@0X#(SVI1yXVZbm3V_RdCImEr*y)w2 zL0M>ef4OfEEm$7OcyDpTIf!ay$I=%;Fg;Fh=@pk%bA^``??p1ifi+B8SNJdAb2(0~ z{{X2Obo`Fzwz!lB8q)K;EV0lO1KqFcVk<(e0d#an3d3&|h&h4(0EH0=v3)uJ0FtAX zSD)N$23WaMO{foj<!B$3!7~rUAx^0TRn}$KA z=guKeVD7z_`u)pHQ5QCJ^DMJB-Ej$k;!FXnx|F_4@5Hs`@Up4HRT!6C^UQiN;Di@) zmH?amh|$^2%2Pwb5nX1Ufdts3VYX@f5k+ZOp}K?{9E3t9A|PrWQ5rbs2}@ zQ1%{WSS+TcoqfWg^v^xkF>K)Mw9s4#1Tkb6v@iiAUPus;5=%Fh6`tlXt|iy_p+CHEB_!Q+W^ zAaPNkEpWgo0}(&+CnM%PL7XFB@AnZJ`DLa50JA6$TmvwD4B@t^&Fz2NV9gAlIsL|r z$loz=Drt{0hQ)e-q9w6z(@vlz02FbU2Oyqx1B322?x1g0N-_{I-Mhq~m6eY;`plGD z^A)Q{5{lOLDHIQsCgqg*jf^i5csZ9{52a)+ft=sOFJoess!KY;pEA2Ft;@~$Suq!b z=_pnG7?PIGDi#8>godo~aRUG*5&)+U40M{UMid#=<16vWiE6Am9v}Mwg29%nKBUx2 z^KZn<+&dy6n3HA-^Sf#CpK3E+g&L0o=)?Oa%_JF8Dz-}qyBkvfFqE!0D+k1f9 z@fEHA00QKRy9^WdJ~19{EW{_?P!uYSBNFAdHq^CYu7(qfHK(x`ehF(d1A`Vd{YO{0 z;5Q9Oe6tY^-pJefLeqvNl{n%NBSivHX%dJlb`x%E)EO$^8^_WHedDMKcznyNl2<^ZmdS5nCVbDw@NSWY?J6 zrH5spOj%_<31ofH<@jz`47G?d?;)tOlN7E!V=$rws*m0O0A_k47d7?s0dgUL<>KXK z@{?2D5p9wNQRFIokZhu?e?lH%*RbiI6;*49Lt%ZuGQgP(_bO%vnwL5*u`ecO0W7`D zjZWD)fm?fyt}%P~m5;Ppy58UivGLRkD7|V{hO+f5VLsx6WBVe1Y}TN&h`qj- zC}OT4MMtZdP(t0r-d`{ZT^F<5MK1JfeM@kKvT(Ea{^n(FU=KDxx-M?`Kpfv0n5%k- zm-p1HQ=f>F*Md9iA9&|gJFyjCYq%gpM>c9#6qjJD!1o;B40SD%9&TMxR{sF6^&eUW zMpM(cDRI^hwxa<-ZO?TXU|uWxuQ1Zmxmu(3nA8BZ=fAW-EgB`hhS_xp$pQL^%EIK9 z`=jp(&_Q#L((mRJ0-yQ?`@jjn(Zo69;uXf>$(6aR^8<`atCxe$<}AOYp~o?%GooVs zM08}1F~kv{`!4g6;9D&DfbD*>B}b+pSDaq*W2C3smrvP-HgK0l_c1G?k3vAB3h^m> zXx1ZmtUd7oT`=WV5_NRrX`n#GOM`m@o;5xM9XQo!S94xbBmQMx)_NH74eTS^SF*E z%%%1~4TkquMkdVsipSmn+ww;2y+NWc#^!Ncnp7J?tDVe;Zo*bZlfROo$>tVeiJaBS z&ghqr{bDM(Ak~#342P*oyt2M!!(kvt`8qtaTLyp0@@j!@eB;VH1mW)KXk8i@xGtuMkm-c!)VEiR=!0mhWB5 z{M5rs$8!o|%w%|C+!Ba~s@^fjFkF6ie_x0Lc-#*>IdwwNH7a#RZ$bKd0~?(7?Id9pd7V zgCNcF8S~_UC8I^+p5VIcYuPQjjOjb(8lxHp%eBhqED{QFS#Dsuyk`+c0}z^_N>x`@ z=S-y9Fj2FKfWa!=<{~{2m0I%zx>pW=YrD<~Si^8J2p%9W za67raW0O!bx$Yd5h#A!4z9oI)XA+|Y%C`RizjESL!&NFTILt*OcQQ-mln~DAUoc69 zzaQ_sLYc`jMPdN1Jqo096zb9l0YL66u=Mi)Kurc};Zv!YykZu>06h=p=3D#0Q&k^Y zgH}Q>Rh9tGQtC=-S&n~Fu-l08F|NKGOIbCjFKk7)JwO;Ab0vy`l(&r#6)Zc9A_Dsu zZ$%`hN(S{TaONNyUxvu+HJv}^<*>X3#f`0foRYtX(s)bs%#1jJRSsdM%)-`)g_Z75 zvbVdHl{EqGTHXiL3aq{H1)(>HZx1yu!yQ}Hu+S%@=_+yyzf%yJ^9-<;4960^T=Ca1 zBO8DAcxC20y;NA0MtIE6rGgjzT&1^BE^3G|kC~#cn5|-ASx@)=K!%Z{%niejCt8*| zx^gg8RHePv;NxDSZg?UBXD@9^t-zpqQaacV@)UFG6&__Y9Qu^zi2EV|TNL<+vkF>rwQRmMZy*r;yxmKdH!stV_?W!O2W@2t_HcFh#If!+Dsi z0N91*T>}=UE0Q9Or*uYT7puo)VR=>>uQ2VIoi9ImSYJb^t9EB|SzzKEP^poQqI1uw+G(`+o9&QnGJ+AVmDh0tTN04f|E2y%X~U3PpP{$@zdcp+B9;g$sy$c!vj zd-VV&+<1bY+{lLyQFV5`5kz~L&LgDj)HkuRDy-+`B?VpyM{0zq2#tLq8&($RW9tV0 z0P-L25c3Y<+5Z5Om=%&7U+`vP>EH6YiutKaOE^}~-Ze%ss0bmF0J6hb%p+q)q0QH* z-pePOsJW}x6DEwz6jA1KJFGX1}Qq#FvI;=eHzUIhMw%sLb* z@Lb-Q*(NxVtGME1)O|AtH!t>3yZknw09EL0t@Kp)UX$Fw2NFyz!J79WK!Zh(OpikM_wMaD}vci zhmWqllOSC?xZ}`(vJJB9XPAsHF?3aOLA1siJ76fZ!tJW*oU_`c*Q5C*dPljuPr>XZc!`%pYn4w|T~a0b4ZD%NnsVM7l& zABcv%o%0v~q1*+n9@`m~3$az!Yxfm}0n{nd!(B$L>xpZ#iA)iepBT)sF4{VC5OT|2 z^V|VhjxaBTycCCbshT}^H7NOn5sKelW?WF=;m__k*I0}diiha_p^VS0yge$R*kzS; zU@33B&k^*1<7m|-(D1;f**Hl+QJ+xTs^~viLpPI{Af3Iup|kENc4>-LM(knOXl(Dy zSK$?ShJE{k23aye$lNNk4cQkFO+*n*uM+AGVPb1i=*xmz2Cr~&R{mn(#dGRrx9VQ; zxX!mNLD3T;FotH{9YEk!Y?nwD?~i|Tw|H99Qvx@c z@BYQBPC6xeT7JwOV5*QnvGOrjznHBQhyX6s_$>TF6>RyKWnQM#g97QZ+(j{utPs@= zz*sGat%bb7OmECmsVU69FC{_327Jo{P_4d@&`}vk(W?hH>*iJ{GHb1Wao0a89{&J^UUeOB?pinyyj|uI~O}V_Yev314Z!Yv4n?7QVBO+%^?V%yVvPZLf2>PB*%az--Mz+QoaAv!ijrAoUc&j^P2B z{{TEnmFJde*DKK5rDk%!Fe{>l;;orBLUb9IwODEoMza;kE=?0>`w5k!U1*ZKBv@2|>YKFLnad@{RL&#G zG5Lx<#IF7cRAfe&)n-xSR(r(4^8?b~?iPH(=Yr^sjiFyKrJFc{*}qYt2Nw@(;-v=H zdX^~-h53uC zWugYkN4cFYW8$x<8;}J-k1Bec-riWO5{;!znc7NSpGcH><^?DzsF_`NhGujEV0bDP zx;6VPUpE+387`$Z`r;h^cLl-npau&L0ITg^NEX_wGYan^6V@0dA#!@J)S<=9iVLhU z8KXS41^a7r0_|O5RWK$g;EtkKcP!H6n7ioETnbwBxrZF z_x}K_3u{`b#(m7kY}cukv$Sh6W(B-@?otD6+nKdu?tO%9$M10s+(JNKygjYz1#2UV zfylS+I2I!LekBGmgNN1^sA-Pwkg^snN8ld7cWzq@aW_0jMfxBf2 z8DgrctLYn;^B)945#@Quhy?OE+;E`S8}LMhj3h_taL8+AgahTfmaJ=`FX^YD z&9Pj2i2|JYW*p>tWrFCIw}kV~h}9AlSHCkL^n_*e{lK4rDE|Pe4cVwIXyQ|$s0FUO z2nkDXvvS4fN!bh9JguG}vWHT+;s&Fuj-`RKLHn=~$#iATpaYDD5V9E5%U3WL6j+X0 z^9N5d?iU5YOK+5hZ@!>qhQgR4g~g8jAq$=WP)p$gksn-B@hpa#AE8gZ$LQSwZ||Am z(h7?>D*piX8DeK4^LH$S1eW+E0$9_c@r>S?NdV<+1=aW;SQK7$I*~8ahwRHb0u7eW zR8az}AiL%zig;VMANEuL#?{3!RVbp$iiKLtt2wAH9IZrseWF{oq5@rdnrA&igh5ry zT~?#pD~C}{X5ccmG(U^C`hCfpbC`jx3ux7HOGpu|;>zNPSRxT&Frc6g^> zH8JBKXta5q03tS05W?;P#aH_f`)g~G1AANSdS>@b4sjQWM%b(^_WggNXBDF_nT}E; z4p;3rQ2ClQ_LN}2Q4Vjoz^)<=Vz^SZ+-XXIRf|90+};H(%3nU90e}q$5vkMz;M?>D zWz0Ag`>)ax1biDp;vhmYzL9EV|Scrj8~sYM=uz#1yokiEL%^$6;!_kA=^PlOb^OJ6>R0+lgSL zew<1e2`n@Y;=5aW9`oP#F0vdo!~?MflU+}lxn+DtnkD_>G&Aq1UW7D5cH*m;GG(3| z+8LsNZW1KzP(Py!K)#H7adTruWoUYZ#2NcE?yV`IcZ&$;@c%o`}9C zC*c55!9j2P+!Fn%ZX&IG5Y+_ah=zz56fW5tAnh1P;n>#jq&Pk zSa5_UT^^Va2x)sfd4LA6+%z?s)TT%V);d@zT|+G@p5MgK10`->r$q~|KUq-s_?a|)Wwxzf zHyWPJMz{dqnCxor^9YMl{{T@j@V~ZJIw*WbB@KvO%M}%(%8nEwU6JZt#3`)Qp%obK z_<(S?7$YGyrW;&J(pJgWk`f4+Vij4rhVB8~!E}Dl`^@oy9SZdebMG>?ed88bILsUf zD?VTRi?>4s6-=IP6*ggn^W@%n-QTjgfw6dcsJgRQC``}y|={2=)zyLA)R z`GGG}OVjBz7jNQPwO0o9Oh$(DZlT!8#0G)x3$Hn4ELP^KR5uG59%6@YvYyA&@dJ*9 zJI-yh9-vr_EP06SIXF%+ECCwjfi7W1>S>ebxLkScxZj=mhZhD9M!EbAh|5Qo4?Ri< z667s}V)hcQ%T_YLI|*}wqnA7N5Y}_{fh||X5W`yUF?RJ)!llD!a|M^05CSDx zOx#W_m_cuMQpQ^_<~qgO5|_E!uQdkl$wsr{c0dU8C@2~&t^WXD?qPB;Z{Q^v+sp#h z05fq)>bv#4gcN3Irk6M3t`kK9gQ8m5Yeb(PaAlZwLwe=Jeqw`GIaP0oPH_%b>fF4w zqoJO)4QZSzi$72pI3EyOSCNkHU$otq;}7CAt>A@2V0h`T*##R2oa>lxC9;Bm6FBep zGpfahWB&kSX|+7Xz~sX&1Aa?W`+|Z3Sxv>Zb1db7`?DRB)B=h@9iG~(yL8 zL*gn!p6V*IK~&Ky{kP`htgPWs^N$gX~c2Xl|z4)H}L`--!p1Y ztX0T6ekBGQJZ=~$&3wd0>z4>YU7=TeM+^S|kh)OiZdHD6X9Y=nu>SzYaZ6u90>cIh zKJf0v_1tn(?--=J?hPWCp`(_*lRgIc3CrjJC9GRUE9KA7VmJv(gTgAl z9CIG_Jx(k81CIOv#DfUV3{~u3?92+x?^j%+_UKrUg&Sq zS}GYA2mOFk+Rb!-*l0ls!Sy-&W&(kL=<0Wx-iBZ58vr$*_xj_)K98(zp|&?H6F)kr z4A7YN>%0kM72&e#c?ZYtROQjR8Jr8tVMSfW>mfd zF@jN|`N6LFgM&~<9u_%;`@SR9Np4hl2JkNs7$U~3f9^MT1Udfza|vx0-R5iIuMwgT z{?icTUEeb#cUShCjxJ%3pUih$RLd?PFys10_U=0QNNtw$V8g6Ue*XZt{{Wwv(~6E& z=6*@65VHirtZ^H4wov;(00C)!DjNx%+~4ee@igcCQ+JrgrU8j6Y5->#At;88U(5x_ zYp*cHIE14F1vr}=-9}yyi`>+4sD-#R!c!Jz`HgIZEyMz-S%WGp=HV9PZD;-yZ$bkA z08kuG4lw}uMFN6gS%{LSLtjYx%a*sq(DHNioIF|fnmycPmQi=l#BJ}Hx&H2d|JgD? BXPp25 literal 0 HcmV?d00001